IITC 2021 Table of Contents All session times are listed in Japan Time (JST, UTC+9)

IEEE International Interconnect Technology Conference (IITC) 2021 Program Schedule

Tuesday, July 6, 2021 9:00 am – 4:30 pm Workshop

Session Chairs:
Yasuhiro Kawase, Mitsubishi Chemical Corporation
Yoshihisa Kagawa, Sony Semiconductor Solutions Corporation
Andrew Yeoh, Applied Materials, Inc.
Tatsuya Usami, Renesas Electronics Corporation

9:00 am – 9:15 am
Opening Remarks

9:15 am – 10:00 am
WS-1. Innovation to Open New Paradigm for ICAC5/GX/DX
(No publication)
Manabu Tsujimura
Company Executive, Fellow, Ebara, Japan

10:00 am – 10:45 am
WS-2. Metallization Challenges in 3D Flash Memory
(No publication)
Masayoshi Tagami Chief Specialist, Advanced Memory Development Center
KIOXIA, Japan

10:45 am – 11:15 am
Break/Exhibition Hour

11:15 am – 12:00 pm
WS-3. STT-MRAM technology: applications and scalability challenges
(No publication)
Kangho Lee
Master, Foundry Business, Samsung Electronics, South Korea

12:00 pm – 1:00 pm
Break/Exhibition Hour

1:00 pm – 1:45 pm
WS-4. 3D Stacking Technologies for Advanced CIS
(No publication)
Yoshihisa Kagawa Senior Manager, Research Division, Sony Semiconductor Solutions Corporation, Japan

1:45 pm – 2:30 pm
WS-5. NanoBridge Applications Technolog fo Low-power Rad-hard AIoT Applications
(No publication)
Munehiro Tada
VP Engineering, NanoBridge Semiconductor, Inc., Japan

2:30 pm – 3:00 pm
Break/Exhibition Hour

3:00 pm – 3:45 pm
WS-6. Reliability challenges in advanced interconnects
(No publication)
Olalla Varela Pedreira
R&D Engineer, IMEC, Belgium

3:45 pm – 4:30 pm
WS-7. Extending silicon technology for high-bandwidth communications and neuromorphic computing
(No publication)
Bert Jan Offrein Manager Neuromorphic Devices and Systems, Science& Technology department, IBM research Europe, Switzerland

Wednesday, July 7, 2021 8:00 am – 10:00 am
Session 1: Opening/Plenary

8:00 am – 8:20 am
Opening Remarks/Award Ceremony
Conference General Chairs:
Kazuyoshi Ueno, Shibaura Institute of Technology,
Paul Besser, Entegris
Stefan E. Schulz, TU-Chemnitz

8:00 am – 8:20 am
Opening Remarks
Kazuyoshi Ueno, Shibaura Institute of Technology

8:15 am – 8:20 am
Award Ceremony
Session Chair: Paul Besser, Entegris

2020 International Interconnect Technology Conference (IITC)
MICHEL LERME BEST PAPER AWARD

Co-doped Ru liners for highly reliable Cu interconnects with selective Co cap
K. Motoyama{1}, O. van der Straten{1}, J. Maniscalco1, K. Cheng{1}, S. DeVries{1}, H. Huang{1},
T. Shen{1}, N. Lanzillo{1}, S. Hosadurga{1}, K. Park{2}, T. Bae{2}, H. Seo{2}, T. Wu1,
T. Spooner{1}, and K. Choi{1}
{1}IBM Research, {2}Samsung Electronics Co.

2020 International Interconnect Technology Conference (IITC)
LAM RESEARCH BEST STUDENT PAPER AWARD

Thermodynamic Exploration of Co–Alloy Diffusion Barriers for Advanced Cu Interconnect Yuki Yamada, Masataka Yahagi and Junichi Koike Department of Material Science, Tohoku University

2020 International Interconnect Technology Conference (IITC)
BEST POSTER AWARD

The structural origin of the minimum diffusion barrier thickness of ultra-thin TaNx

Toshihiro Kuge, Masataka Yahagi, and Junichi Koike
Department of Material Science, Tohoku University

Plenary Session
Session Chair: Kuan-Neng Chen, National Chiao Tung University

8:20 am – 9:10 am
S1-1. Keynote Speech: 3D Heterogeneous Integration for Intelligent Mobile System
(No publication)
Mitsumasa Koyanagi Senior Research Fellow, New Industry Creation Hatchery Center,
Tohoku University, Japan

Plenary Session
Session Chair: Soo-Hyun Kim, Yeungnam University

9:10 am – 10:00 am
S1-2. Keynote Speech: Foundry Challenges and Opportunities Near the End of Moore’s Era
(No publication)
Gitae Jeong Corporate EVP, Head of Corporate Office/ Technology Development, Samsung Electronics, South Korea

10:00 am – 10:30 am
Break/Exhibition Hour

Wednesday, July 7, 2021 10:30 am – 12:40 pm
Session 2: Advanced Interconnect

Session Chairs: Paul Besser, Entegris & Ming-Han Lee, TSMC

10:30 am – 11:00 am
S2-1. Invited Speech: Advanced interconnect challenges beyond 5nm and possible solutions
Kichul Park{1}, Harsono Simka{2} {1}Samsung Electronics, South Korea; {2}Samsung Semiconductor Inc., United States

11:00 am – 11:25 am
S2-2. Advanced Damascene Integration Using Selective Deposition of Barrier Metal with Self Assemble Monolayer
Hiroaki Kawasaki{4}, Mitsuaki Iwashita{4}, Hisashi Warashina{4}, Hiroyuki Nagai{4}, Hiroyuki Komatsu{1}, Yuuki Ozaki{1}, Kazutoshi Iwai{3}, Gyana Pattanaik{2} {1}JSR corporation, Japan; {2}TEL Technology Center, America, LLC, United States; {3}Tokyo Electron America, Inc., United States; {4}Tokyo Electron Limited, Japan

S2-3. Low Resistance Subtractive Metal Interconnect Toward Sub 10nm Dimension (Withdrawn)
He Ren, Hao Jiang, Shi You, Mehul Naik, Alice Lu, Lin Zhou, Chi-I Lang, Wenting Hou, Jianxin Lei, Martin Seamons, Ankit Pokhrel, Praket Jha, Jingmei Liang, Anand Iyer, Chris Lee, Hao Chen, Khoi Phan Applied Materials, Inc., United States

11:25 am – 11:50 am
S2-4. Thermodynamic Evaluation of the Liner and Barrier Properties of a single-Phase Interlayer for Advanced Cu Interconnections
Yuki Yamada, Masataka Yahagi, Junichi Koike Tohoku University, Japan

11:50 am – 12:15 pm
S2-5. Selective Barrier for Cu Interconnect Extension in 3nm Node and Beyond
Shi You, He Ren, Mehul Naik, Lu Chen, Feng Chen, Carmen Leal Cervantes, Xiangjin Xie, Keyvan Kashefizadeh Applied Materials, Inc., United States

12:15 pm – 1:00 pm
Break

1:00 pm – 2:00 pm
Exhibitors Session
Session Chairs: Hiroyuki Nagai, Tokyo Electron Ltd. & Mansour Moinpour, EMD Performance Materials (Merck)

1:00 pm – 1:30 pm
Exhibitor Presentation
TOYO Corporation SCREEN Semiconductor Solutions Co., Ltd.
Moses Lake Industries, Inc.
Merck KGaA

1:30 pm – 2:00 pm
Guided Exhibitor Booth Tour in two groups (10-min visit for each exhibitor)
Visit order of Group A guided by Hiroyuki Nagai, Tokyo Electron Ltd.:
SCREEN Semiconductor Solutions Co., Ltd.
Moses Lake Industries, Inc.
Merck KGaA Visit order of Group B guided by Tatsuya Usami, Renesas Electronics:
Merck KGaA SCREEN Semiconductor Solutions Co., Ltd.
Moses Lake Industries, Inc.

Wednesday, July 7, 2021 2:00 pm – 4:10 pm
Session 3: 3D and Packaging

Session Chairs: Stefan Schulz, TU-Chemnitz & Tetsu Tanaka, Tohoku University

2:00 pm – 2:30 pm
S3-1. Invited Speech: Opportunities and challenges brought by 3D-sequential integration
Perrine Batude
Senior scientist and project manager, CEA-Leti, France

2:30 pm – 2:55 pm
S3-2. IR-Drop Analysis of Hybrid Bonded 3D-ICs with Backside Power Delivery and u- & nTSVs
Giuliano Sisto{2}, Bilal Chehab{3}, Bertrand Genneret{1}, Rogier Baert{3}, Rongmei Chen{3}, Pieter Weckx{3}, Julien Ryckaert{3}, Richard Chou{1}, Geert Van der Plas{3}, Eric Beyne{3}, Dragomir Milojevic{4} {1}Cadence Design Systems, United States; {1}Cadence Design Systems, France; {2}Cadence Design Systems / IMEC / École Polytechnique de Bruxelles, United States; {3}IMEC, Belgium; {4}IMEC / École Polytechnique de Bruxelles, Belgium

2:55 pm – 3:20 pm
S3-3. Fabrication and Characterization of ISC Embedded Interposer for High Performance Interconnection
Won Ji Park, Min Guk Kang, Jae Hee Oh, Shaofeng Ding, Ji Hyung Kim, Je Gwan Hwang, Yun Ki Choi, Jung Ho Park, Won Hyoung Lee, Seung Ki Nam, Seong Wook Moon, Jong Mil Youn, Jeong Hoon Ahn Samsung Electronics, South Korea

3:20 pm – 3:45 pm
S3-4. BEoL Damage Evaluation Utilizing Sub Critical Cu-Pillar Shear Tests, Acoustic Emission, nXCT, and SEM/Fib Analysis
Jendrik Silomon{3}, Jürgen Gluch{1}, Juliane Posseckardt{1}, André Clausner{1}, Jens Paul{2}, Dirk Breuer{2}, Ehrenfried Zschech{1} {1}Fraunhofer IKTS, Germany; {2}Globalfoundries LLC & Co. KG, Germany; {3}Volkswagen AG, Germany

3:45 pm – 4:10 pm
S3-5. Characterization of Low-Temperature Selective Cobalt Atomic Layer Deposition (ALD) for Chip Bonding
Ming-Jui Li{1}, Michael Breeden{2}, Victor Wang{2}, Nyi Myat Khine Linn{3}, Charles Winter{3}, Andrew Kummel{2}, Muhannad Bakir{1} {1}Georgia Institute of Technology, United States; {2}University of California San Diego, United States; {3}Wayne State University, United States

4:10 pm – 4:25 pm
Break

Wednesday, July 7, 2021 4:25 pm – 5:40 pm
Poster Session 1

Session Chairs: Yoshihisa Kagawa, Sony Corp. & Philippe Rodriguez, CEA Leti

4:25 pm – 4:30 pm
PS-1-01. Cu-Cu Bonding Using Optimized Copper Nitride Passivation for 3D Packaging Applications
Haesung Park, Seungmin Park, Yoonho Kim, Sarah Kim Seoul National University of Science & Technology, South Korea

4:30 pm – 4:35 pm
PS-1-02. Low Cost TSV Fabrication Technologies Using Anisotropic Si Wet Etching and Conformal Electroless Plating of Barrier and Seed Metals
Tomohiro Shimizu{1}, Shoso Shingubara{1}, Kosuke Matsui{5}, Yuichiro Torinari{5}, Shigeru Watariguchi{2}, Hideki Watanabe{3}, Makoto Motoyoshi{4} {1}Kansai University, Japan; {2}Meltex Inc., Japan; {3}Meltex.Inc., Japan; {4}tohoku-microtec, Japan; {5}Tosetsu Inc., Japan

4:35 pm – 4:40 pm
PS-1-03. A Thin Adhesive for 3D/2.5D Si Chip Stacking at Low Temperature
Yasuhisa Kayaba, Yuzo Nakamura, Takashi Kozeki, Jun Kamada, Kazuo Kohmura Mitsui Chemicals, Inc., Japan

4:40 pm – 4:45 pm
PS-1-04. An Investigation for Electromagnetic and Electrothermal Coupling Characteristics of Hybrid Bond in Stacked Embedded DRAM with MRPIM
Jingrui Chai{1}, Xiping Jiang{1}, Xudong Gao{1}, Bing Yu{1}, Xiaofeng Zhou{1}, Peng Yin{1}, Song Wang{1}, Jie Tan{1}, Zhengwen Wang{1}, Gang Dong{2}, Qiwei Ren{1} {1}Xi’an UniIC Semiconductors, China; {2}Xidian University, China

4:45 pm – 4:50 pm
PS-1-05. Copper Large-Scale Grain Growth by UV Nanosecond Pulsed Laser Annealing
Toshiyuki Tabata{2}, Pierre-Edouard Rayna{2}, Fabien Rozé{2}, Sébastien Halty{2}, Louis Thuries{2}, Fuccio Cristiano{1}, Emmanuel Scheid{1}, Fulvio Mazzamuto{2} {1}LAAS-CNRS, France; {2}Laser Systems & Solutions of Europe (LASSE), France

4:50 pm – 4:55 pm
PS-1-06. Mechanical Properties of Low-K Dielectric Deposited on Subtractively Patterned Cu Lines for Advanced Interconnects
Ivan Ovchinnikov{2}, Askar Rezvanov{3}, Dmitry Seregin{2}, Daniil Abdullaev{2}, Konstantin Vorotilov{2}, Vladimir Gvozdev{1}, Tom Blomberg{5}, Alexey Veselov{5}, Mikhail Baklanov{4} {1}MERI, Russia; {2}MIREA-Russian Technological University, Russia; {3}Moscow Institute of Physics and Technology, Russia; {4}North China University of Technology, China; {5}Picosun, Finland

4:55 pm – 5:00 pm
PS-1-07. The via Resistance Analysis at ALD-to-PVD Tan Transition Layer
Youngsoo Yoon, Changhyun Kim, Junki Jang, Kichang Sung, Hoon Kim, Yunki Choi, Jeong Hoon Ahn, Wonkyu Han, Woojin Jang, Rakhwan Kim, Dongwoo Shin, Juheon Kim, Youngju Lim, Hyunju Yim, Wonmo Kang, Jongmil Youn Samsung Electronics Inc., South Korea

5:00 pm – 5:05 pm
PS-1-08. Multi-level Metallization on an Elastomer PDMS for FOWLP-based Flexible Hybrid Electronics
Zhe Wang, Ikumi Ozawa, Yuki Susumago, Tomo Odashima, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima Tohoku University, Japan

5:05 pm – 5:10 pm
PS-1-09. Comparison of Copper and Cobalt Surface Reactivity for Advanced Interconnects
Amine Lakhdari{1}, Mathieu Frégnaux{2}, Louis Caillard{1}, Anne Marie Goncalves{2}, Mikaïlou Thiam{1}, Frédéric Raynal{1}, Arnaud Etcheberry{2} {1}aveni, France; {2}Université de Versailles Saint-Quentin-en-Yvelines / Institut Lavoisier de Versailles, France

PS-1-10. Grain Structure-Resistivity Relationship of Ru ALD Precursors (Withdrawn)
Michael Breeden{3}, Victor Wang{3}, Ravindra Kanjolia{1}, Mansour Moinpour{1}, Jacob Woodruff{1}, Harsono Simka{2}, Andrew Kummel{3} {1}EMD Electronics, a business of Merck KGaA Darmstadt, Germany; {2}Samsung Electronics Inc., United States; {3}University of California San Diego, United States

5:10 pm – 5:15 pm
PS-1-11. Fabrication of Highly Doped MLG Patterns Using Selective CVD and MoCl5 Intercalation
Ekkaphop Ketsombun, Tomoki Akimoto, Kazuyoshi Ueno Shibaura Institute of Technology, Japan

5:15 pm – 5:20 pm
PS-1-12. Automated Voids Detection for Metal Filled Trenches with Bottom CD of 10nm
Maryamsadat Hosseini, Gerardo Martinez, Marleen van der Veen, Nicolas Jourdan, Eugenio Dentoni Litta, Naoto Horiguchi imec, Belgium

5:20 pm – 5:40 pm
Poster Session 1 – Authors Interview

5:50 pm – 7:00 pm
Networking Reception

Thursday, July 8, 2021 8:00 am – 10:15 am
Session 4: Integration

Session Chairs: Hui Jae Yoo, Intel & Sang Hoon Ahn, Samsung Electronics Co.

8:00 am – 8:30 am
S4-1. Invited Speech: EUV patterning considerations for BEOL scaling
(No publication)
Nelson Felix Director, Process Technology, IBM Research, USA

8:30 am – 9:00 am
S4-2. Invited Speech: Analysis of edge placement error (EPE) at the 5nm node and beyond
Robert Socha
Fellow, ASML Brion

9:00 am – 9:25 am
S4-3. Advanced Air Gap Formation Scheme Using Volatile Material
Hisashi Warashina{2}, Hiroaki Kawasaki{2}, Hiroyuki Nagai{2}, Nagisa Sato{2}, Tatsuya Yamaguchi{3}, Yuki Kikuchi{1}, Xinghua Sun{1} {1}TEL TECHNOLOGY CENTER, AMERICA, LLC, United States; {2}TOKYO ELECTRON LIMITED, Japan; {3}TOKYO ELECTRON TECHNOLOGY SOLUTIONS LIMITED, Japan

9:25 am – 9:50 am
S4-4. Process Integration of High Aspect Ratio Vias with a Comparison Between Co and Ru Metallizations
Victor-Hugo Vega-Gonzalez{1}, Daniel Montero{1}, Janko Versluijs{1}, Olalla Varela Pedreira{1}, Nicolas Jourdan{1}, Harinarayanan Puliyalil{1}, Bilal Chehab{1}, Tobias Peissker{2}, Ali Haider{2}, Dmitry Batuk{1}, Gerardo Tadeo Martinez Alanis{1}, Jef Geypen{1}, Quoc Toan{1} {1}IMEC, Belgium; {2}Lam Research Corporation, United States

9:50 am – 10:15 am
S4-5. Advanced 5nm BEOL Integration Development for manufacuring
Jungil Park, Jeong Hoon Ahn, Youngsoo Yoon, Yunki Choi, Junki Jang, Miji Lee Samsung electronics, South Korea

10:15 am – 10:30 am
Break

Thursday, July 8, 2021 10:30 am – 12:45 pm
Session 5: Contact/Unit Process

Session Chairs: Zhihong Chen, Purdue University & Susumu Matsumoto, Tower Partners Semiconductor Co., Ltd

10:30 am – 11:00 am
S5-1. Invited Speech: Contact module progress and challenges in advanced CMOS technologies
Nicolas Breil Applied Materials, United States

11:00 am – 11:30 am
S5-2. Invited Speech: Intermetallic compounds for Interconnect metal beyond 3 nm node
Junichi Koike, Toshihiro Kuge, Linghan Chen, Masataka Yahagi Tohoku University, Japan

11:30 am – 11:55 am
S5-3. Contact Interface Characterization of graphene Contacted MoS2 Fets
Vivek Koladi Mootheri{2}, Albert Minj{1}, Goutham Arutchelvan{1}, Alessandra Leonhardt{2}, Inge Asselberghs{1}, Marc Heyns{2}, Iuliana Radu{1}, Dennis Lin{1} {1}IMEC, Belgium; {2}Katholieke Universiteit Leuven/IMEC, Belgium

11:55 am – 12:20 pm
S5-4. Metal Wet Recess Challenges and Solutions for Beyond 7nm Fully Aligned via Integration
Corneliu Brown Peethala{1}, Devika Sil{1}, Benjamin Briggs{1}, David Rath{1}, Nick Lanzillo{1}, Kedari Matam{1}, Hosadurga Shobha{1}, K. Choi{1}, Terry Spooner{1}, Donald Canaperi{1}, Minnal Packiam{2}, Dustin Janes{2}, John Casey{2}, L. Chang{2}, Bala Haran{1} {1}IBM Research, United States; {2}SCREEN SPE USA, LLC DNS Electronics, LLC, United States

12:20 pm – 12:45 pm
S5-5. Improved Contacts to Synthetic Monolayer MoS2 – a Statistical Study
Aravindh Kumar, Alvin Tang, Philip Wong, Krishna Saraswat Stanford University, United States

12:45 pm – 1:00 pm
Break

1:00 pm – 2:00 pm
Exhibitors Session
Session Chairs: Shinichi Ogawa, AIST & Luke Henderson, BASF Electronic Materials

1:00 pm – 1:30 pm
Exhibitor Presentation
Mitsubishi Chemical Corporation TAIYO NIPPON SANSO CORPORATION/RASIRC, Inc. Tokyo Ohka Kogyo Co.,LTD.

CMC Materials Inc.

1:30 pm – 2:00 pm
Guided Exhibitor Booth Tour in two groups (10-min visit for each exhibitor)
Visit order of Group A guided by Shinichi Ogawa, AIST:
TAIYO NIPPON SANSO CORPORATION/RASIRC, Inc.
Tokyo Ohka Kogyo Co.,LTD.
CMC Materials Inc.

Visit order of Group B guided by Kazumichi Tsumura, Toshiba Corp.:
CMC Materials Inc.
TAIYO NIPPON SANSO CORPORATION/RASIRC, Inc.
Tokyo Ohka Kogyo Co.,LTD.

Thursday, July 8, 2021 2:00 pm – 4:15 pm
Session 6: Memory

Session Chairs: Axel Preusse, GLOBALFOUNDRIES & Christopher Wilson, imec

2:00 pm – 2:30 pm
S6-1. Invited Speech: Commercialization of MRAM – Historical and Future perspective
Sumio Ikegawa, Frederick Mancoff, Sanjeev Aggarwal Everspin Technologies, Inc., United States

2:30 pm – 3:00 pm
S6-2. Invited Speech: Enabling Ferroelectric Memories in BEOL – towards advanced neuromorphic computing architectures
David Lehninger, Maximilian Lederer, Tarek Ali, Thomas Kämpfe, Konstantin Mertens, Konrad Seidel Fraunhofer IPMS/CNT, Germany

3:00 pm – 3:25 pm
S6-3. Controlled ALE-Type Recess of Molybdenum for Future Logic and Memory Applications
Antoine Pacco{1}, Teppei Nakano{3}, Akihisa Iwasaki{2}, Shota Iwahata{3}, Efrain Altamirano Sanchez{1} {1}IMEC, Belgium; {2}SCREEN Semiconductor Solutions Co., Ltd., Japan; {3}SCREEN SPE Germany GmbH , Germany

3:25 pm – 3:50 pm
S6-4. Multi-Scale Modeling Approach to Assess and mitigiate Wafer Warpage in 3-D NAND Fabrication
Oguzhan Orkut Okudur, Mario Gonzalez, Geert Van Den Bosch, Maarten Rosmeulen imec, Belgium

3:50 pm – 4:15 pm
S6-5. Materials Impact on SRAM Timing: an Ab Initio Study of Interconnects
Shela Aboud{2}, Tue Gunst{1}, Jonathan Cobb{2}, Joanne Huang{2}, Plamen Asenov{2}, Vaida Arcisauskaite{1} {1}Synopsys Denmark ApS, Belgium; {2}Synopsys Inc., United Kingdom; {2}Synopsys Inc., United States

4:15 pm – 4:25 pm
Break

Thursday, July 8, 2021 4:25 pm – 5:45 pm
Poster Session 2

Session Chairs: Masayoshi Tagami, Kioxia Corp. & Chee Lip Gan, Nanyang Technological University

4:25 pm – 4:30 pm
PS-2-01. A Method of chemical-Mechanical Polishing of a Thick Silver Layer on Patterned Silicon Wafer(Withdrawn)
Evgeny Danilkin{2}, Valentina Gaydeday{2}, Jose Valdez{2}, Vladimir Krupnik{2}, Igor Ivanov{1}, Sergey Ermakov{3}, Daria Navolotskaya{3} {1}AxBio inc., United States; {2}Crocus Nano Electronics, Russia; {3}Saint Ptetersburg State Unniversity, Russia

4:30 pm – 4:35 pm
PS-2-02. Atomic Layer Deposition of Titanium Silicate for Multi-Patterning Process
Sanghun Lee{3}, Seunggi Seo{3}, Wonate Noh{1}, Il-Kwon Oh{2}, Hyungjun Kim{3} {1}Air Liquide Laboratory Korea, South Korea; {2}Ajou University, South Korea; {3}Yonsei University, South Korea

4:35 pm – 4:40 pm
PS-2-03. Microstructural Optimization of Tungsten for Low Resistivity Using Ion Beam Deposition
Frank Cerio, Rutvik Mehta, Paul Turner, Robert Caldwell, Jinho Kim Veeco Instruments Inc, United States

4:40 pm – 4:45 pm
PS-2-04. An Alternative to Tungsten in 3D-NAND Technology
Dominique Suhr, Vincent Mevellec, Mikailou Thiam, Jonathan Idier, Frédéric Raynal, Hermine Berthon, Elisa Perrault, Nicolas Hann, Céline Doussot, Yeeseul Kim, Mathilde Baus, Amine Lakhdari, Gaëlle Guittet, Louis Caillard aveni, France

4:45 pm – 4:50 pm
PS-2-05. Atomic Layer Deposition of RuO2 Using a New metalorganic Precursor As a Diffusion Barrier for Ru Interconnect
Youn-Hye Kim{3}, Yohei Kotsugi{2}, Taehoon Cheon{1}, Rahul Ramesh{3}, Soo-Hyun Kim{3} {1}Daegu Gyeongbuk Institute of Science and Technology, South Korea; {2}Tanaka Precious Metals, South Korea; {3}Yeungnam University, South Korea

4:50 pm – 4:55 pm
PS-2-06. An All-Wet, Low Cost RDL Fabrication Process with Electroless Plated Seed/Barrier Layers
Ziru Cai, Yingtao Ding, Zhaohu Wu, Ziyue Zhang, Yuwen Su, Zhiming Chen Beijing Institute of Technology, China

4:55 pm – 5:00 pm
PS-2-07. Low Resistivity Titanium Nitride Thin Film Fabricated by Atomic Layer Deposition on Silicon
Cheng-Hsuan Kuo{3}, Victor Wang{3}, Zichen Zhang{3}, Seonguk Yun{3}, Jeffrey Spiegelman{1}, Daniel Alvarez{1}, Harsono Simka{2}, Andrew Kummel{3} {1}Rasirc, United States; {2}Samsung, United States; {3}UCSD, United States

5:00 pm – 5:05 pm
PS-2-08. Impact of Nanosecond Laser Anneal on PVD Ru Films
Devika Sil{1}, Yasir Sulehria{1}, Oleg Gluschenkov{1}, Takeshi Nogami{1}, Roger Cornell{1}, Andrew Simon{1}, Juntao Li{1}, James Demarest{1}, Bala Haran{1}, Christian Lavoie{1}, Jean L Jordan-Sweet{1}, V. Stanic{1}, Junjun Liu{3}, Karim Huet{2}, Fulvio Mazzamuto{2} {1}IBM Research, United States; {2}Laser Systems & Solutions of Europe, France; {3}SCREEN SPE USA, LLC, United States

5:05 pm – 5:10 pm
PS-2-09. Development of Manganese Nitride Resistor with Near-Zero Temperature-Coefficient of Resistance to Achieve High-Thermal-Stability ICs
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka Tohoku University, Japan

5:10 pm – 5:15 pm
PS-2-10. Design of an Integrated III-V on Silicon Semiconductor Laser for Spiking Neural Networks
Keshia Mekemeza Ona, Benoit Charbonnier, Karim Hassan CEA-Leti, Université Grenoble Alpes, France

5:15 pm – 5:20 pm
PS-2-11. Virtual Metrology Equipped with a Variability Analyzer in Chemical Mechanical Polishing
Lingyen Yeh, Shu Chun Huang Sun Innovation Co., Ltd., Taiwan

5:20 pm – 5:25 pm
PS-2-12. Interconnects Variability Control for High Voltage Applications
Kwang Sing Yew, Yi Jiang, Wanbing Yi, Ramasamy Chockalingam, Ran Xing Ong, Bo Li, Juan Boon Tan GlobalFoundries, Singapore

5:25 pm – 5:30 pm
PS-2-13. A Study on the nitridation of Barrier Liner Contribution to Galvanic Corrosion of Copper bondpad
Xiaodong Li, Ramasamy Chockalingam, Poh Chuan Ang, Wah Peng Neo, Juan Boon Tan globalfoundries singapore, Singapore

5:30 pm – 5:45 pm
Poster Session 2 – Authors Interview

Friday, July 9, 2021 8:00 am – 10:15 am
Session 7: Advanced Interconnect

Session Chairs: Dan Edelstein, IBM & Robert Socha, ASML

8:00 am – 8:30 am
S7-1. Invited Speech: EM performance improvements for Cu interconnects with Ru-based liner and Co cap in advanced nodes
Koichi Motoyama BEOL Metal Integration, IBM Research

8:30 am – 8:55 am
S7-2. XPS Diffusion Analysis of Ta(N)/Ru Diffusion Barriers for Cobalt Interconnects
Bettina Wehring, Lukas Gerlich, Benjamin Uhlig Fraunhofer IPMS, Germany

8:55 am – 9:20 am
S7-3. Exploring W-Cu Hybrid Dual Damascene metallization for Future Nodes
Marleen van der Veen{3}, Olalla Varela Pedreira{3}, Nancy Heylen{3}, Nicolas Jourdan{3}, Stéphane Larivière{3}, Seongho Park{3}, Herbert Struyf{3}, Zsolt Tőkei{3}, Wei Lei{2}, Shiris Pethe{2}, Shinjae Hwang{2}, Feng Chen{2}, Zhiyan Wu{2}, Jérôme Machillot{1}, Andrew Cockburn{1}, A. Jansen{2} {1}Applied Materials Belgium, Belgium; {2}Applied Materials, Inc., United States; {3}IMEC, Belgium

9:20 am – 9:45 am
S7-4. Selective Deposition of AlOx for Fully Aligned via in Nano Cu Interconnects
Son Van Nguyen{1}, Hosadurga Shobha{1}, Corneliu Brown Peethala{1}, Thomas Haigh{1}, Huai Huang{1}, Juntao Li{1}, James Demarest{1}, Balasubramanian Pranatharthi Haran{1}, Dennis Hausmann{2}, Paul Lemaire{2}, Kashish Sharma{2}, Pankaj Ramani{2}, Arpan Mahorowala{2} {1}IBM Research, United States; {2}Lam Research Corporation, United States

9:45 am – 10:10 am
S7-5. Aluminide Intermetallics for Advanced Interconnect metallization: Thin Film Studies
Jean-Philippe Soulie, Zsolt Tőkei, Johan Swerts, Christoph Adelmann Imec, Belgium

10:10 am – 10:15 am
Next Conference Announcement
General Chair of IITC2022
Hui Jae Yoo, Intel

10:15 am – 10:30 am
Break

Friday, July 9, 2021 10:30 am – 12:50 pm
Session 8: RC Scaling/Reliability

Session Chairs: Andrew Yeoh, Applied Materials & Hiroyuki Nagai, Tokyo Electron Ltd.

10:30 am – 11:00 am
S8-1. Invited Speech: On-die interconnect technologies for future technology nodes
Mauro Kobrinsky Intel

11:00 am – 11:30 am
S8-2. Invited Speech: Reliability Characterization on Advanced FinFET Technology
Kihyun Choi, Taeyoung Jeong, Jinju Kim, Seungjin Choo, Younghan Kim, Myungsoo Yeo, Miji Lee, Jinseok Kim, Euncheol Lee Samsung Electronics, South Korea

11:30 am – 11:55 am
S8-3. Reliability of Barrierless PVD Mo
Davide Tierno, Maryam Hosseini, Marleen van der Veen, Anish Dagol, Kristof Croes, Steven Demuynck, Zsolt Tőkei, Eugenio Dentoni Litta, Naoto Horiguchi imec, Belgium

11:55 am – 12:20 pm
S8-4. Joule Heating Investigation for Advanced Interconnect Schemes with airgaps
Melina Lofrano, Olalla Varela Pedreira, Ivan Ciofi, Herman Oprins, Seongho Park Park, Zsolt Tokei imec, Belgium

12:20 pm – 12:45 pm
S8-5. Effects of Composition Deviation of CuAl2 on BTS and TDDB Reliability
Toshihiro Kuge, Masataka Yahagi, Junichi Koike Tohoku University, Japan 12:45 pm – 12:50 pm Next Conference Announcement General Chair of IITC2022 Hui Jae Yoo, Intel

12:50 pm – 1:00 pm
Break

1:00 pm – 2:00 pm
Exhibitors Session
Session Chairs: Takeshi Furusawa, HD Micro Systems & Yasuhiro Kawase, Mitsubishi Chemical

1:00 pm – 1:30 pm
Exhibitor Presentation
Tower Partners Semiconductor Co., Ltd
TOKYO ELECTRON LIMITED
APOLLOWAVE Corporation
EBARA CORPORATION
Showa Denko Materials Co., Ltd.

1:30 pm – 2:00 pm
Guided Exhibitor Booth Tour in two groups (10-min visit for each exhibitor)
Visit order of Group A guided by Takeshi Furusawa, HD Micro Systems:
APOLLOWAVE Corporation
EBARA CORPORATION
Showa Denko Materials Co., Ltd.

Visit order of Group B guided by Yasuhiro Kawase, Mitsubishi Chemical:
Showa Denko Materials Co., Ltd.
APOLLOWAVE Corporation
EBARA CORPORATION

Friday, July 9, 2021 2:00 pm – 4:20 pm
Session 9: DTCO/Novel System & Closing Remarks

Session Chairs: Zsolt Tokei, imec & Tatsuya Usami, Renesas Electronics

2:00 pm – 2:30 pm
S9-1. Invited Speech: Technological Influences in Designing and Building a Wafer Scale Interconnect
(No publication)
Gary Lauterback CTO and Co-Founder, Cerebras Systems

2:30 pm – 3:00 pm
S9-2. Invited Speech: Resistive memories for neuromorphic hardware
(No publication)
Elisa Vianello senior scientist, CEA-Leti, France

3:00 pm – 3:25 pm
S9-3. Advanced CMP Process Control by Using Machine Learning Image Analysis
Min-Hsuan Hsu, Chih-Chen Lin, Hsiang-Meng Yu, Kuang-Wei Chen, Tuung Luoh, Ling-Wuu Yang, Ta-Hone Yang, Kuang-Chao Chen Macronix International Co. Ltd., Technology Development Center, Taiwan

3:25 pm – 3:50 pm
S9-4. Two-Level MOL and VHV Routing Style to Enable Extreme Height Scaling Beyond 2nm Technology Node
Bilal Chehab, Odysseas Zografos, Eugenio Dentoni Litta, Zubair Ahmed, Pieter Schuddinck, Doyoung Jang, Geert Hellings, Alessio Spessot, Pieter Weckx, Julien Ryckaert IMEC, Belgium

3:50 pm – 4:15 pm
S9-5. Novel IR/EM-Aware Power Grid Design and Analysis Methodologies for Optimal PPA at Sub-10nm Technology Nodes
Grant Miller{2}, Saurabh Jain{1}, Santosh Kelgeri{1}, Pranav Ranganathan{1}, Ahmet Ceyhan{1} {1}Advanced Design, United States; {2}Intel Corporation, United States

4:15 pm – 4:20 pm
Closing Remarks
Conference General Chairs:
Kazuyoshi Ueno,
Shibaura Institute of Technology,
Paul Besser, Entegris
Stefan E. Schulz, TU-Chemnitz

Next Conference Announcement
General Chair of IITC2022
Hui Jae Yoo, Intel